summaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_area.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/synth_area.tcl')
-rw-r--r--scripts/vivado/synth_area.tcl8
1 files changed, 8 insertions, 0 deletions
diff --git a/scripts/vivado/synth_area.tcl b/scripts/vivado/synth_area.tcl
new file mode 100644
index 0000000..c222a00
--- /dev/null
+++ b/scripts/vivado/synth_area.tcl
@@ -0,0 +1,8 @@
+read_verilog ../../picorv32.v
+read_xdc synth_area.xdc
+
+synth_design -part xc7k70t-fbg676 -top picorv32_axi
+opt_design -resynth_seq_area
+
+report_utilization
+report_timing