summaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_area_large.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/synth_area_large.tcl')
-rw-r--r--scripts/vivado/synth_area_large.tcl10
1 files changed, 10 insertions, 0 deletions
diff --git a/scripts/vivado/synth_area_large.tcl b/scripts/vivado/synth_area_large.tcl
new file mode 100644
index 0000000..af611b5
--- /dev/null
+++ b/scripts/vivado/synth_area_large.tcl
@@ -0,0 +1,10 @@
+read_verilog ../../picorv32.v
+read_verilog synth_area_top.v
+read_xdc synth_area.xdc
+
+synth_design -part xc7k70t-fbg676 -top top_large
+opt_design -sweep -propconst -resynth_seq_area
+opt_design -directive ExploreSequentialArea
+
+report_utilization
+report_timing