summaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_speed.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/synth_speed.tcl')
-rw-r--r--scripts/vivado/synth_speed.tcl13
1 files changed, 13 insertions, 0 deletions
diff --git a/scripts/vivado/synth_speed.tcl b/scripts/vivado/synth_speed.tcl
new file mode 100644
index 0000000..f3874e4
--- /dev/null
+++ b/scripts/vivado/synth_speed.tcl
@@ -0,0 +1,13 @@
+
+read_verilog ../../picorv32.v
+read_xdc synth_speed.xdc
+
+synth_design -part xc7k70t-fbg676 -top picorv32_axi
+opt_design
+place_design
+phys_opt_design
+route_design
+
+report_utilization
+report_timing
+