summaryrefslogtreecommitdiffstats
path: root/scripts/yosys-cmp/vivado.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/yosys-cmp/vivado.tcl')
-rw-r--r--scripts/yosys-cmp/vivado.tcl3
1 files changed, 3 insertions, 0 deletions
diff --git a/scripts/yosys-cmp/vivado.tcl b/scripts/yosys-cmp/vivado.tcl
new file mode 100644
index 0000000..560b880
--- /dev/null
+++ b/scripts/yosys-cmp/vivado.tcl
@@ -0,0 +1,3 @@
+read_verilog ../../picorv32.v
+synth_design -part xc7k70t-fbg676 -top picorv32
+report_utilization