summaryrefslogtreecommitdiffstats
path: root/scripts/smtbmc/axicheck2.v
blob: 3d24a2eae92e1c1b5e743733e8752d439fc0239d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
module testbench (
	input         clk,
	input         resetn,
	output        trap_0,
	output        trap_1,

	output        mem_axi_awvalid_0,
	input         mem_axi_awready_0,
	output [31:0] mem_axi_awaddr_0,
	output [ 2:0] mem_axi_awprot_0,

	output        mem_axi_awvalid_1,
	input         mem_axi_awready_1,
	output [31:0] mem_axi_awaddr_1,
	output [ 2:0] mem_axi_awprot_1,

	output        mem_axi_wvalid_0,
	input         mem_axi_wready_0,
	output [31:0] mem_axi_wdata_0,
	output [ 3:0] mem_axi_wstrb_0,

	output        mem_axi_wvalid_1,
	input         mem_axi_wready_1,
	output [31:0] mem_axi_wdata_1,
	output [ 3:0] mem_axi_wstrb_1,

	input         mem_axi_bvalid,
	output        mem_axi_bready_0,
	output        mem_axi_bready_1,

	output        mem_axi_arvalid_0,
	input         mem_axi_arready_0,
	output [31:0] mem_axi_araddr_0,
	output [ 2:0] mem_axi_arprot_0,

	output        mem_axi_arvalid_1,
	input         mem_axi_arready_1,
	output [31:0] mem_axi_araddr_1,
	output [ 2:0] mem_axi_arprot_1,

	input         mem_axi_rvalid,
	output        mem_axi_rready_0,
	output        mem_axi_rready_1,
	input  [31:0] mem_axi_rdata
);
	picorv32_axi #(
		.ENABLE_COUNTERS(1),
		.ENABLE_COUNTERS64(1),
		.ENABLE_REGS_16_31(1),
		.ENABLE_REGS_DUALPORT(1),
		.BARREL_SHIFTER(1),
		.TWO_CYCLE_COMPARE(0),
		.TWO_CYCLE_ALU(0),
		.COMPRESSED_ISA(0),
		.CATCH_MISALIGN(1),
		.CATCH_ILLINSN(1)
	) uut_0 (
		.clk             (clk              ),
		.resetn          (resetn           ),
		.trap            (trap_0           ),
		.mem_axi_awvalid (mem_axi_awvalid_0),
		.mem_axi_awready (mem_axi_awready_0),
		.mem_axi_awaddr  (mem_axi_awaddr_0 ),
		.mem_axi_awprot  (mem_axi_awprot_0 ),
		.mem_axi_wvalid  (mem_axi_wvalid_0 ),
		.mem_axi_wready  (mem_axi_wready_0 ),
		.mem_axi_wdata   (mem_axi_wdata_0  ),
		.mem_axi_wstrb   (mem_axi_wstrb_0  ),
		.mem_axi_bvalid  (mem_axi_bvalid   ),
		.mem_axi_bready  (mem_axi_bready_0 ),
		.mem_axi_arvalid (mem_axi_arvalid_0),
		.mem_axi_arready (mem_axi_arready_0),
		.mem_axi_araddr  (mem_axi_araddr_0 ),
		.mem_axi_arprot  (mem_axi_arprot_0 ),
		.mem_axi_rvalid  (mem_axi_rvalid   ),
		.mem_axi_rready  (mem_axi_rready_0 ),
		.mem_axi_rdata   (mem_axi_rdata    )
	);

	picorv32_axi #(
		.ENABLE_COUNTERS(1),
		.ENABLE_COUNTERS64(1),
		.ENABLE_REGS_16_31(1),
		.ENABLE_REGS_DUALPORT(1),
		.BARREL_SHIFTER(1),
		.TWO_CYCLE_COMPARE(0),
		.TWO_CYCLE_ALU(0),
		.COMPRESSED_ISA(0),
		.CATCH_MISALIGN(1),
		.CATCH_ILLINSN(1)
	) uut_1 (
		.clk             (clk              ),
		.resetn          (resetn           ),
		.trap            (trap_1           ),
		.mem_axi_awvalid (mem_axi_awvalid_1),
		.mem_axi_awready (mem_axi_awready_1),
		.mem_axi_awaddr  (mem_axi_awaddr_1 ),
		.mem_axi_awprot  (mem_axi_awprot_1 ),
		.mem_axi_wvalid  (mem_axi_wvalid_1 ),
		.mem_axi_wready  (mem_axi_wready_1 ),
		.mem_axi_wdata   (mem_axi_wdata_1  ),
		.mem_axi_wstrb   (mem_axi_wstrb_1  ),
		.mem_axi_bvalid  (mem_axi_bvalid   ),
		.mem_axi_bready  (mem_axi_bready_1 ),
		.mem_axi_arvalid (mem_axi_arvalid_1),
		.mem_axi_arready (mem_axi_arready_1),
		.mem_axi_araddr  (mem_axi_araddr_1 ),
		.mem_axi_arprot  (mem_axi_arprot_1 ),
		.mem_axi_rvalid  (mem_axi_rvalid   ),
		.mem_axi_rready  (mem_axi_rready_1 ),
		.mem_axi_rdata   (mem_axi_rdata    )
	);

	always @(posedge clk) begin
		if (resetn && $past(resetn)) begin
			assert(trap_0            == trap_1           );
			assert(mem_axi_awvalid_0 == mem_axi_awvalid_1);
			assert(mem_axi_awaddr_0  == mem_axi_awaddr_1 );
			assert(mem_axi_awprot_0  == mem_axi_awprot_1 );
			assert(mem_axi_wvalid_0  == mem_axi_wvalid_1 );
			assert(mem_axi_wdata_0   == mem_axi_wdata_1  );
			assert(mem_axi_wstrb_0   == mem_axi_wstrb_1  );
			assert(mem_axi_bready_0  == mem_axi_bready_1 );
			assert(mem_axi_arvalid_0 == mem_axi_arvalid_1);
			assert(mem_axi_araddr_0  == mem_axi_araddr_1 );
			assert(mem_axi_arprot_0  == mem_axi_arprot_1 );
			assert(mem_axi_rready_0  == mem_axi_rready_1 );

			if (mem_axi_awvalid_0) assume(mem_axi_awready_0 == mem_axi_awready_1);
			if (mem_axi_wvalid_0 ) assume(mem_axi_wready_0  == mem_axi_wready_1 );
			if (mem_axi_arvalid_0) assume(mem_axi_arready_0 == mem_axi_arready_1);

			if ($fell(mem_axi_awready_0)) assume($past(mem_axi_awvalid_0));
			if ($fell(mem_axi_wready_0 )) assume($past(mem_axi_wvalid_0 ));
			if ($fell(mem_axi_arready_0)) assume($past(mem_axi_arvalid_0));

			if ($fell(mem_axi_awready_1)) assume($past(mem_axi_awvalid_1));
			if ($fell(mem_axi_wready_1 )) assume($past(mem_axi_wvalid_1 ));
			if ($fell(mem_axi_arready_1)) assume($past(mem_axi_arvalid_1));

			if ($fell(mem_axi_bvalid)) assume($past(mem_axi_bready_0));
			if ($fell(mem_axi_rvalid)) assume($past(mem_axi_rready_0));

			if (mem_axi_rvalid && $past(mem_axi_rvalid)) assume($stable(mem_axi_rdata));
		end
	end
endmodule