summaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_area.tcl
blob: c222a004e720e1f6ea4c15070211dcc58ea539da (plain)
1
2
3
4
5
6
7
8
read_verilog ../../picorv32.v
read_xdc synth_area.xdc

synth_design -part xc7k70t-fbg676 -top picorv32_axi
opt_design -resynth_seq_area

report_utilization
report_timing