summaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_system.tcl
blob: 26ea01cf4aaf2e56b333eef5bb1a8c40f340f55e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17

read_verilog system.v
read_verilog ../../picorv32.v
read_xdc synth_system.xdc

synth_design -part xc7a35t-cpg236-1 -top system
opt_design
place_design
route_design

report_utilization
report_timing

write_verilog -force synth_system.v
write_bitstream -force synth_system.bit
# write_mem_info -force synth_system.mmi