diff options
Diffstat (limited to 'picosoc/hx8kdemo_tb.v')
-rw-r--r-- | picosoc/hx8kdemo_tb.v | 5 |
1 files changed, 4 insertions, 1 deletions
diff --git a/picosoc/hx8kdemo_tb.v b/picosoc/hx8kdemo_tb.v index 83b1340..1e13cde 100644 --- a/picosoc/hx8kdemo_tb.v +++ b/picosoc/hx8kdemo_tb.v @@ -27,7 +27,10 @@ module testbench; $dumpfile("testbench.vcd"); $dumpvars(0, testbench); - repeat (200000) @(posedge clk); + repeat (6) begin + repeat (50000) @(posedge clk); + $display("+50000 cycles"); + end $finish; end |