aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/synth_vivado.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/synth_vivado.tcl')
-rw-r--r--scripts/synth_vivado.tcl18
1 files changed, 18 insertions, 0 deletions
diff --git a/scripts/synth_vivado.tcl b/scripts/synth_vivado.tcl
new file mode 100644
index 0000000..1685721
--- /dev/null
+++ b/scripts/synth_vivado.tcl
@@ -0,0 +1,18 @@
+
+# vivado -nojournal -log synth_vivado.log -mode batch -source synth_vivado.tcl
+
+read_verilog synth_vivado_soc.v
+read_verilog ../picorv32.v
+read_xdc synth_vivado.xdc
+
+synth_design -part xc7a15t-csg324 -top picorv32_axi
+# synth_design -part xc7a15t-csg324 -top test_soc
+opt_design
+place_design
+route_design
+
+report_utilization
+report_timing
+
+write_verilog -force synth_vivado_syn.v
+