aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_soc.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/vivado/synth_soc.tcl')
-rw-r--r--scripts/vivado/synth_soc.tcl4
1 files changed, 3 insertions, 1 deletions
diff --git a/scripts/vivado/synth_soc.tcl b/scripts/vivado/synth_soc.tcl
index 4311418..eaf82d5 100644
--- a/scripts/vivado/synth_soc.tcl
+++ b/scripts/vivado/synth_soc.tcl
@@ -3,7 +3,7 @@ read_verilog soc_top.v
read_verilog ../../picorv32.v
read_xdc synth_soc.xdc
-synth_design -part xc7a15t-csg324 -top soc_top
+synth_design -part xc7a35t-cpg236-1 -top soc_top
opt_design
place_design
route_design
@@ -12,4 +12,6 @@ report_utilization
report_timing
write_verilog -force synth_soc.v
+write_bitstream -force synth_soc.bit
+# write_mem_info -force synth_soc.mmi