aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v2
1 files changed, 2 insertions, 0 deletions
diff --git a/testbench.v b/testbench.v
index 18cce40..84a2fd0 100644
--- a/testbench.v
+++ b/testbench.v
@@ -139,6 +139,7 @@ module picorv32_wrapper #(
);
picorv32_axi #(
+`ifndef SYNTH_TEST
`ifdef SP_TEST
.ENABLE_REGS_DUALPORT(0),
`endif
@@ -149,6 +150,7 @@ module picorv32_wrapper #(
.ENABLE_DIV(1),
.ENABLE_IRQ(1),
.ENABLE_TRACE(1)
+`endif
) uut (
.clk (clk ),
.resetn (resetn ),