aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorNadesh Ramanathan <nadeshramanathan88@gmail.com>2020-11-09 22:31:03 +0000
committerNadesh Ramanathan <nadeshramanathan88@gmail.com>2020-11-09 22:31:03 +0000
commitc676092e38d00cf12ea5a063ca3ab8c5bb50f659 (patch)
tree3493a307c6655d5f31d2dd623da6f54045e6cab2
parent7fcc860e1283667255c592638394cc10bb882302 (diff)
downloadvericert-kvx-c676092e38d00cf12ea5a063ca3ab8c5bb50f659.tar.gz
vericert-kvx-c676092e38d00cf12ea5a063ca3ab8c5bb50f659.zip
a script to execute polybench on vericert
-rw-r--r--benchmarks/polybench-syn/benchmark-list-master28
-rwxr-xr-xbenchmarks/polybench-syn/run-vericert.sh25
2 files changed, 53 insertions, 0 deletions
diff --git a/benchmarks/polybench-syn/benchmark-list-master b/benchmarks/polybench-syn/benchmark-list-master
new file mode 100644
index 0000000..171a1c0
--- /dev/null
+++ b/benchmarks/polybench-syn/benchmark-list-master
@@ -0,0 +1,28 @@
+stencils/heat-3d
+stencils/fdtd-2d
+stencils/jacobi-1d
+stencils/seidel-2d
+stencils/adi
+stencils/jacobi-2d
+medley/nussinov
+medley/floyd-warshall
+linear-algebra/kernels/3mm
+linear-algebra/kernels/2mm
+linear-algebra/kernels/doitgen
+linear-algebra/kernels/bicg
+linear-algebra/kernels/mvt
+linear-algebra/kernels/atas
+linear-algebra/blas/syrk
+linear-algebra/blas/gemver
+linear-algebra/blas/symm
+linear-algebra/blas/gesummv
+linear-algebra/blas/gemm
+linear-algebra/blas/trmm
+linear-algebra/blas/syr2k
+linear-algebra/blas/trmm.preproc
+linear-algebra/solvers/cholesky
+linear-algebra/solvers/trisolv
+linear-algebra/solvers/lu
+linear-algebra/solvers/ludcmp
+linear-algebra/solvers/durbin
+data-mining/covariance
diff --git a/benchmarks/polybench-syn/run-vericert.sh b/benchmarks/polybench-syn/run-vericert.sh
new file mode 100755
index 0000000..38b425f
--- /dev/null
+++ b/benchmarks/polybench-syn/run-vericert.sh
@@ -0,0 +1,25 @@
+#! /bin/bash
+
+top=$(pwd)
+ #set up
+while read benchmark ; do
+ echo "Running "$benchmark
+ gcc $benchmark.c -o $benchmark.o
+ ./$benchmark.o
+ cresult=$(echo $?)
+ echo "C output: "$cresult
+ ../../bin/vericert --debug-hls $benchmark.c -o $benchmark.v
+ iverilog -o $benchmark.iver -- $benchmark.v
+ ./$benchmark.iver > $benchmark.tmp
+ veriresult=$(tail -1 $benchmark.tmp | cut -d' ' -f2)
+ cycles=$(tail -4 $benchmark.tmp | head -1 | tr -s ' ' | cut -d' ' -f3)
+ echo "Veri output: "$veriresult
+ if [ $cresult -ne $veriresult ]
+ then
+ echo "FAIL"
+ exit 0
+ else
+ echo "PASS"
+ fi
+ echo $cycles > $benchmark.cycle
+done < benchmark-list-master