aboutsummaryrefslogtreecommitdiffstats
path: root/benchmarks/polybench-syn/setup-syn-vivado.sh
blob: 3441c0f4d689e7fdf216955e9a0956f3d3f778fb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
#!/bin/bash

#set up
sshhost="$1"
basedir=${2:-"poly-syn-vivado"}

echo "Setting up in $sshhost:$basedir"

echo "Creating directory"
ssh -q "$sshhost" "cd ~; rm -r $basedir; mkdir $basedir"

echo "Copying scripts over"
scp -q syn-vivado.tcl "$sshhost:$basedir"
scp -q syn-vivado.sh "$sshhost:$basedir"
rm syn-list

while read -r benchmark; do
echo "Copying $benchmark over"
name=$(echo "$benchmark" | awk -v FS="/" '{print $NF}')
scp -q "$benchmark.v" "$sshhost:~/$basedir"
echo "$name" >> syn-list
done < benchmark-list-master

echo "Copying syn-list"
scp -q syn-list "$sshhost:$basedir"