aboutsummaryrefslogtreecommitdiffstats
path: root/src/VeriFuzz/Sim/Quartus.hs
diff options
context:
space:
mode:
authorYann Herklotz <git@ymhg.org>2019-04-18 18:16:35 +0100
committerYann Herklotz <git@ymhg.org>2019-04-18 18:16:35 +0100
commit97398438902d42b33aef475e3e357781582bec16 (patch)
treec027eda3c0167ef5aaacd68167c6aac75aff1f58 /src/VeriFuzz/Sim/Quartus.hs
parent7053c6117f39d39852b3259c677691b5df6e7c04 (diff)
downloadverismith-97398438902d42b33aef475e3e357781582bec16.tar.gz
verismith-97398438902d42b33aef475e3e357781582bec16.zip
Add output path to each simulator
Diffstat (limited to 'src/VeriFuzz/Sim/Quartus.hs')
-rw-r--r--src/VeriFuzz/Sim/Quartus.hs8
1 files changed, 6 insertions, 2 deletions
diff --git a/src/VeriFuzz/Sim/Quartus.hs b/src/VeriFuzz/Sim/Quartus.hs
index 0463009..beb7f10 100644
--- a/src/VeriFuzz/Sim/Quartus.hs
+++ b/src/VeriFuzz/Sim/Quartus.hs
@@ -23,7 +23,9 @@ import VeriFuzz.Sim.Internal
import VeriFuzz.Verilog.AST
import VeriFuzz.Verilog.CodeGen
-newtype Quartus = Quartus { quartusBin :: Maybe FilePath }
+data Quartus = Quartus { quartusBin :: !(Maybe FilePath)
+ , quartusOutput :: {-# UNPACK #-} !FilePath
+ }
deriving (Eq)
instance Show Quartus where
@@ -34,9 +36,11 @@ instance Tool Quartus where
instance Synthesiser Quartus where
runSynth = runSynthQuartus
+ synthOutput = quartusOutput
+ setSynthOutput (Quartus a _) f = Quartus a f
defaultQuartus :: Quartus
-defaultQuartus = Quartus Nothing
+defaultQuartus = Quartus Nothing "quartus/syn_quartus.v"
runSynthQuartus :: Quartus -> SourceInfo -> FilePath -> ResultSh ()
runSynthQuartus sim (SourceInfo top src) outf = do