aboutsummaryrefslogtreecommitdiffstats
path: root/src/VeriFuzz/Sim/Quartus.hs
diff options
context:
space:
mode:
Diffstat (limited to 'src/VeriFuzz/Sim/Quartus.hs')
-rw-r--r--src/VeriFuzz/Sim/Quartus.hs10
1 files changed, 8 insertions, 2 deletions
diff --git a/src/VeriFuzz/Sim/Quartus.hs b/src/VeriFuzz/Sim/Quartus.hs
index cac1fb8..0559637 100644
--- a/src/VeriFuzz/Sim/Quartus.hs
+++ b/src/VeriFuzz/Sim/Quartus.hs
@@ -54,8 +54,14 @@ runSynthQuartus sim (SourceInfo top src) = do
ex (exec "quartus_fit") [top, "--part=5CGXFC7D6F31C6"]
ex (exec "quartus_eda") [top, "--simulation", "--tool=vcs"]
liftSh $ do
- cp (fromText "simulation/vcs" </> fromText top <.> "vo") $ synthOutput sim
- run_ "sed" ["-ri", "s,^// DATE.*,,; s,^tri1 (.*);,wire \\1 = 1;,; /^\\/\\/ +synopsys/ d;", toTextIgnore $ synthOutput sim]
+ cp (fromText "simulation/vcs" </> fromText top <.> "vo")
+ $ synthOutput sim
+ run_
+ "sed"
+ [ "-ri"
+ , "s,^// DATE.*,,; s,^tri1 (.*);,wire \\1 = 1;,; /^\\/\\/ +synopsys/ d;"
+ , toTextIgnore $ synthOutput sim
+ ]
echoP "Quartus synthesis done"
where
inpf = "rtl.v"