aboutsummaryrefslogtreecommitdiffstats
path: root/mppa_k1c/Asmblockgen.v
diff options
context:
space:
mode:
authorCyril SIX <cyril.six@kalray.eu>2018-10-03 17:50:53 +0200
committerCyril SIX <cyril.six@kalray.eu>2018-10-03 17:50:53 +0200
commit5486e861bf5cd3980258b95ecfaef0fdbdc9fdeb (patch)
tree2c6a81e29f9801b342f952af9de331fd1cc3ecc9 /mppa_k1c/Asmblockgen.v
parentb466368baad51a1832da5d1f69b0e5bbc2c8a5b3 (diff)
downloadcompcert-kvx-5486e861bf5cd3980258b95ecfaef0fdbdc9fdeb.tar.gz
compcert-kvx-5486e861bf5cd3980258b95ecfaef0fdbdc9fdeb.zip
Schéma de simulation + les Pnop sont maintenant implicites
Diffstat (limited to 'mppa_k1c/Asmblockgen.v')
-rw-r--r--mppa_k1c/Asmblockgen.v8
1 files changed, 5 insertions, 3 deletions
diff --git a/mppa_k1c/Asmblockgen.v b/mppa_k1c/Asmblockgen.v
index cc3038ca..be930758 100644
--- a/mppa_k1c/Asmblockgen.v
+++ b/mppa_k1c/Asmblockgen.v
@@ -840,17 +840,19 @@ Definition transl_basic_code' (f: Machblock.function) (il: list Machblock.basic_
Local Obligation Tactic := bblock_auto_correct.
-Program Definition gen_bblock_noctl (hd: list label) (c: list basic) :=
+(* Program Definition gen_bblock_noctl (hd: list label) (c: list basic) :=
match c with
| nil => {| header := hd; body := Pnop::nil; exit := None |}
| i::c => {| header := hd; body := i::c; exit := None |}
end.
+ *)
(** Can generate two bblocks if the ctl is a PExpand (since the PExpand must be alone in its block) *)
Program Definition gen_bblocks (hd: list label) (c: list basic) (ctl: list instruction) :=
match (extract_ctl ctl) with
- | None => gen_bblock_noctl hd (c ++ (extract_basic ctl)) :: nil
- | Some (PExpand (Pbuiltin ef args res)) => (gen_bblock_noctl hd c) ::
+ | None => {| header := hd; body := c; exit := None |} :: nil
+(* gen_bblock_noctl hd (c ++ (extract_basic ctl)) :: nil *)
+ | Some (PExpand (Pbuiltin ef args res)) => ({| header := hd; body := c; exit := None |}) ::
((PExpand (Pbuiltin ef args res)) ::b nil)
| Some (PCtlFlow i) => {| header := hd; body := c ++ (extract_basic ctl); exit := Some (PCtlFlow i) |} :: nil
end