aboutsummaryrefslogtreecommitdiffstats
path: root/test/mppa/instr/floatconv.c
blob: 025f968bf6a7547f20b6add5a53f273b328966a9 (plain)
1
2
3
4
5
6
7
8
9
#include "framework.h"

float int2float(int v){
  return v;
}

BEGIN_TEST(int)
    c = (int) int2float(a) + (int) int2float(b) + (int) int2float(42.3);
END_TEST()