aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2018-02-16 12:03:50 +0100
committerGitHub <noreply@github.com>2018-02-16 12:03:50 +0100
commit2ba76e03116d6e8bfbb53681597458ee10299d05 (patch)
tree0360e4fcbe4148013fa4ede6555c9aa12723e30d
parenta9e0ea54cffa162cfe901ff8d30d8877a18c6d8e (diff)
parent77a6b2dd26ac1a1638264434f019aedd385d3a39 (diff)
downloadpicorv32-2ba76e03116d6e8bfbb53681597458ee10299d05.tar.gz
picorv32-2ba76e03116d6e8bfbb53681597458ee10299d05.zip
Merge pull request #54 from thoughtpolice/misc-fixes
Small fixes to the IceStorm scripts
-rw-r--r--scripts/icestorm/Makefile6
-rw-r--r--scripts/quartus/Makefile2
-rw-r--r--scripts/vivado/Makefile2
3 files changed, 5 insertions, 5 deletions
diff --git a/scripts/icestorm/Makefile b/scripts/icestorm/Makefile
index 2740da0..b86995f 100644
--- a/scripts/icestorm/Makefile
+++ b/scripts/icestorm/Makefile
@@ -4,7 +4,7 @@ TOOLCHAIN_PREFIX = riscv32-unknown-elf-
all: example.bin
firmware.elf: firmware.S firmware.c firmware.lds
- $(TOOLCHAIN_PREFIX)gcc -Os -m32 -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \
+ $(TOOLCHAIN_PREFIX)gcc -Os -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \
--std=gnu99 -Wl,-Bstatic,-T,firmware.lds,-Map,firmware.map,--strip-debug -lgcc
chmod -x firmware.elf
@@ -35,7 +35,7 @@ example_sim_vcd: example_tb.vvp
vvp -N example_tb.vvp +vcd
synth_tb.vvp: example_tb.v synth.blif
- iverilog -o synth_tb.vvp -s testbench synth.v example_tb.v /usr/local/share/yosys/ice40/cells_sim.v
+ iverilog -o synth_tb.vvp -s testbench synth.v example_tb.v `yosys-config --datdir/ice40/cells_sim.v`
chmod -x synth_tb.vvp
synth_sim: synth_tb.vvp
@@ -48,7 +48,7 @@ route.v: example.asc
icebox_vlog -L -n top -sp example.pcf example.asc > route.v
route_tb.vvp: example_tb.v route.v
- iverilog -o route_tb.vvp -s testbench route.v example_tb.v /usr/local/share/yosys/ice40/cells_sim.v
+ iverilog -o route_tb.vvp -s testbench route.v example_tb.v `yosys-config --datdir/ice40/cells_sim.v`
chmod -x route_tb.vvp
route_sim: route_tb.vvp
diff --git a/scripts/quartus/Makefile b/scripts/quartus/Makefile
index 8b994e0..c644609 100644
--- a/scripts/quartus/Makefile
+++ b/scripts/quartus/Makefile
@@ -37,7 +37,7 @@ sim_system: firmware.hex system_tb.v system.v ../../picorv32.v
./system_tb
firmware.hex: firmware.S firmware.c firmware.lds
- $(TOOLCHAIN_PREFIX)gcc -Os -m32 -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \
+ $(TOOLCHAIN_PREFIX)gcc -Os -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \
--std=gnu99 -Wl,-Bstatic,-T,firmware.lds,-Map,firmware.map,--strip-debug -lgcc
$(TOOLCHAIN_PREFIX)objcopy -O binary firmware.elf firmware.bin
python3 ../../firmware/makehex.py firmware.bin 4096 > firmware.hex
diff --git a/scripts/vivado/Makefile b/scripts/vivado/Makefile
index b1a89b9..d825e16 100644
--- a/scripts/vivado/Makefile
+++ b/scripts/vivado/Makefile
@@ -41,7 +41,7 @@ sim_system:
$(XELAB) -L unifast_ver -L unisims_ver -R system_tb glbl
firmware.hex: firmware.S firmware.c firmware.lds
- $(TOOLCHAIN_PREFIX)gcc -Os -m32 -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \
+ $(TOOLCHAIN_PREFIX)gcc -Os -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \
--std=gnu99 -Wl,-Bstatic,-T,firmware.lds,-Map,firmware.map,--strip-debug -lgcc
$(TOOLCHAIN_PREFIX)objcopy -O binary firmware.elf firmware.bin
python3 ../../firmware/makehex.py firmware.bin 4096 > firmware.hex