aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorClaire Xen <claire@clairexen.net>2021-12-06 16:09:28 +0100
committerGitHub <noreply@github.com>2021-12-06 16:09:28 +0100
commit6b1397700f0555d5764b936cea1adc01be017108 (patch)
treeec11d867ed31d53da098d3152e3f52b3ef024879
parent354f65ab4a4b47defed961ac6efbfee559ffa641 (diff)
parent8588576692b021b919f0b1c2b0bf5776400603fd (diff)
downloadpicorv32-6b1397700f0555d5764b936cea1adc01be017108.tar.gz
picorv32-6b1397700f0555d5764b936cea1adc01be017108.zip
Merge pull request #173 from tonymmm1/hx8kdemo-nextpnr
changed hx8kdemo from arachne-pnr to nextpnr-ice40
-rw-r--r--picosoc/Makefile8
1 files changed, 4 insertions, 4 deletions
diff --git a/picosoc/Makefile b/picosoc/Makefile
index 0346d8e..2f40df4 100644
--- a/picosoc/Makefile
+++ b/picosoc/Makefile
@@ -10,8 +10,8 @@ hx8ksim: hx8kdemo_tb.vvp hx8kdemo_fw.hex
hx8ksynsim: hx8kdemo_syn_tb.vvp hx8kdemo_fw.hex
vvp -N $< +firmware=hx8kdemo_fw.hex
-hx8kdemo.blif: hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v
- yosys -ql hx8kdemo.log -p 'synth_ice40 -top hx8kdemo -blif hx8kdemo.blif' $^
+hx8kdemo.json: hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v
+ yosys -ql hx8kdemo.log -p 'synth_ice40 -top hx8kdemo -json hx8kdemo.json' $^
hx8kdemo_tb.vvp: hx8kdemo_tb.v hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v spiflash.v
iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v`
@@ -22,8 +22,8 @@ hx8kdemo_syn_tb.vvp: hx8kdemo_tb.v hx8kdemo_syn.v spiflash.v
hx8kdemo_syn.v: hx8kdemo.blif
yosys -p 'read_blif -wideports hx8kdemo.blif; write_verilog hx8kdemo_syn.v'
-hx8kdemo.asc: hx8kdemo.pcf hx8kdemo.blif
- arachne-pnr -d 8k -o hx8kdemo.asc -p hx8kdemo.pcf hx8kdemo.blif
+hx8kdemo.asc: hx8kdemo.pcf hx8kdemo.json
+ nextpnr-ice40 --hx8k --package ct256 --asc hx8kdemo.asc --json hx8kdemo.json --pcf hx8kdemo.pcf
hx8kdemo.bin: hx8kdemo.asc
icetime -d hx8k -c 12 -mtr hx8kdemo.rpt hx8kdemo.asc