aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile
diff options
context:
space:
mode:
authorClaire Xen <claire@clairexen.net>2022-01-03 16:03:13 +0100
committerGitHub <noreply@github.com>2022-01-03 16:03:13 +0100
commitf00a88c36eaab478b64ee27d8162e421049bcc66 (patch)
tree7d604206a2deb29c9f097ff1f3b7de78f44b34a3 /Makefile
parent1d9f5b7678c008fd4ab71d9c742a70ff2365f186 (diff)
parente8dbd9ac6a28094b9a2c04cb79478d68b0e3eb07 (diff)
downloadpicorv32-master.tar.gz
picorv32-master.zip
Merge pull request #209 from YosysHQ/micko/cleanupsHEADmaster
Cleanups
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile2
1 files changed, 1 insertions, 1 deletions
diff --git a/Makefile b/Makefile
index 9e3d2f0..d7027e3 100644
--- a/Makefile
+++ b/Makefile
@@ -93,7 +93,7 @@ check-%: check.smt2
check.smt2: picorv32.v
yosys -v2 -p 'read_verilog -formal picorv32.v' \
-p 'prep -top picorv32 -nordff' \
- -p 'assertpmux -noinit; opt -fast' \
+ -p 'assertpmux -noinit; opt -fast; dffunmap' \
-p 'write_smt2 -wires check.smt2'
synth.v: picorv32.v scripts/yosys/synth_sim.ys