aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-02 11:01:21 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-02 11:01:21 +0200
commit476046c1775894dbb8b1df9a0261dd64888ea101 (patch)
tree3838f310e2a640b4a356f7e0290d58114c05bd35 /Makefile
parentb6e8c15901fe13b3a1521a1ab3503534d3c9c0ee (diff)
downloadpicorv32-476046c1775894dbb8b1df9a0261dd64888ea101.tar.gz
picorv32-476046c1775894dbb8b1df9a0261dd64888ea101.zip
Minor Makefile changes
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile8
1 files changed, 4 insertions, 4 deletions
diff --git a/Makefile b/Makefile
index dd329ef..de414fa 100644
--- a/Makefile
+++ b/Makefile
@@ -9,7 +9,7 @@ test: testbench.exe firmware/firmware.hex
testbench.vcd: testbench.exe firmware/firmware.hex
vvp -N $< +vcd
-testbench_view: testbench.vcd
+view: testbench.vcd
gtkwave $< testbench.gtkw
test_sp: testbench_sp.exe firmware/firmware.hex
@@ -68,8 +68,8 @@ toc:
clean:
rm -vrf $(FIRMWARE_OBJS) $(TEST_OBJS) \
- firmware/firmware.{elf,bin,hex,map} synth.v \
- testbench.exe testbench_sp.exe testbench_axi.exe testbench_synth.exe testbench.vcd
+ firmware/firmware.elf firmware/firmware.bin firmware/firmware.hex firmware/firmware.map \
+ synth.v testbench.exe testbench_sp.exe testbench_axi.exe testbench_synth.exe testbench.vcd
-.PHONY: test test_sp test_axi test_sync toc clean
+.PHONY: test view test_sp test_axi test_sync toc clean