aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile
diff options
context:
space:
mode:
authorOlof Kindgren <olof.kindgren@gmail.com>2016-02-18 21:26:18 +0100
committerOlof Kindgren <olof.kindgren@gmail.com>2016-02-18 21:26:18 +0100
commit8343315aa7879800ff6d6c3da16c96eb57fb447a (patch)
treeb2d9d320da786fa89c6ba9fabcdc13058374041c /Makefile
parentc4c477180e1ce829d231765e32e80db4bfc8b137 (diff)
downloadpicorv32-8343315aa7879800ff6d6c3da16c96eb57fb447a.tar.gz
picorv32-8343315aa7879800ff6d6c3da16c96eb57fb447a.zip
Break out AXI4 memory to a separate module
This commit also adds support for setting the AXI_TEST and VERBOSE defines as plusargs or parameters
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile18
1 files changed, 7 insertions, 11 deletions
diff --git a/Makefile b/Makefile
index 5ded656..f02bda7 100644
--- a/Makefile
+++ b/Makefile
@@ -27,24 +27,20 @@ check.smt2: picorv32.v
test_sp: testbench_sp.exe firmware/firmware.hex
vvp -N testbench_sp.exe
-test_axi: testbench_axi.exe firmware/firmware.hex
- vvp -N testbench_axi.exe
+test_axi: testbench.exe firmware/firmware.hex
+ vvp -N testbench.exe +axi_test
test_synth: testbench_synth.exe firmware/firmware.hex
vvp -N testbench_synth.exe
-testbench.exe: testbench.v picorv32.v
- iverilog -o testbench.exe $(subst $(COMPRESSED_ISA),C,-DCOMPRESSED_ISA) testbench.v picorv32.v
+testbench.exe: testbench.v axi4_memory.v picorv32.v
+ iverilog -o testbench.exe $(subst $(COMPRESSED_ISA),C,-DCOMPRESSED_ISA) testbench.v axi4_memory.v picorv32.v
chmod -x testbench.exe
-testbench_sp.exe: testbench.v picorv32.v
- iverilog -o testbench_sp.exe $(subst $(COMPRESSED_ISA),C,-DCOMPRESSED_ISA) -DSP_TEST testbench.v picorv32.v
+testbench_sp.exe: testbench.v axi4_memory.v picorv32.v
+ iverilog -o testbench_sp.exe $(subst $(COMPRESSED_ISA),C,-DCOMPRESSED_ISA) -DSP_TEST testbench.v axi4_memory.v picorv32.v
chmod -x testbench_sp.exe
-testbench_axi.exe: testbench.v picorv32.v
- iverilog -o testbench_axi.exe $(subst $(COMPRESSED_ISA),C,-DCOMPRESSED_ISA) -DAXI_TEST testbench.v picorv32.v
- chmod -x testbench_axi.exe
-
testbench_synth.exe: testbench.v synth.v
iverilog -o testbench_synth.exe testbench.v synth.v
chmod -x testbench_synth.exe
@@ -81,7 +77,7 @@ toc:
clean:
rm -vrf $(FIRMWARE_OBJS) $(TEST_OBJS) check.smt2 check.vcd synth.v synth.log \
firmware/firmware.elf firmware/firmware.bin firmware/firmware.hex firmware/firmware.map \
- testbench.exe testbench_sp.exe testbench_axi.exe testbench_synth.exe testbench.vcd
+ testbench.exe testbench_sp.exe testbench_synth.exe testbench.vcd
.PHONY: test view test_sp test_axi test_synth toc clean