aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-06 19:22:28 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-06 19:22:28 +0200
commitc55d5374010c0f4b569b5d115552dc07bfdcae50 (patch)
tree591c30dcd79d4a23bed9cd876cfff9965df0268d /Makefile
parentf9ae73066b4580a05a15c1bdd8ee5bc05b3f596c (diff)
downloadpicorv32-c55d5374010c0f4b569b5d115552dc07bfdcae50.tar.gz
picorv32-c55d5374010c0f4b569b5d115552dc07bfdcae50.zip
Improved AXI tests
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile7
1 files changed, 7 insertions, 0 deletions
diff --git a/Makefile b/Makefile
index 73cb4d1..ab629ea 100644
--- a/Makefile
+++ b/Makefile
@@ -4,10 +4,17 @@ TEST_OBJS=$(addsuffix .o,$(basename $(wildcard tests/*.S)))
test: testbench.exe firmware/firmware.hex
vvp -N testbench.exe
+test_axi: testbench_axi.exe firmware/firmware.hex
+ vvp -N testbench_axi.exe
+
testbench.exe: testbench.v picorv32.v
iverilog -o testbench.exe testbench.v picorv32.v
chmod -x testbench.exe
+testbench_axi.exe: testbench.v picorv32.v
+ iverilog -o testbench_axi.exe -DAXI_TEST testbench.v picorv32.v
+ chmod -x testbench_axi.exe
+
firmware/firmware.hex: firmware/firmware.bin firmware/makehex.py
python3 firmware/makehex.py $< > $@