aboutsummaryrefslogtreecommitdiffstats
path: root/picosoc
diff options
context:
space:
mode:
authorClaire Xen <claire@clairexen.net>2022-01-03 16:03:13 +0100
committerGitHub <noreply@github.com>2022-01-03 16:03:13 +0100
commitf00a88c36eaab478b64ee27d8162e421049bcc66 (patch)
tree7d604206a2deb29c9f097ff1f3b7de78f44b34a3 /picosoc
parent1d9f5b7678c008fd4ab71d9c742a70ff2365f186 (diff)
parente8dbd9ac6a28094b9a2c04cb79478d68b0e3eb07 (diff)
downloadpicorv32-master.tar.gz
picorv32-master.zip
Merge pull request #209 from YosysHQ/micko/cleanupsHEADmaster
Cleanups
Diffstat (limited to 'picosoc')
-rw-r--r--picosoc/.gitignore2
-rw-r--r--picosoc/Makefile16
2 files changed, 9 insertions, 9 deletions
diff --git a/picosoc/.gitignore b/picosoc/.gitignore
index 08067d3..4ac8239 100644
--- a/picosoc/.gitignore
+++ b/picosoc/.gitignore
@@ -2,7 +2,7 @@
/spiflash_tb.vvp
/hx8kdemo.asc
/hx8kdemo.bin
-/hx8kdemo.blif
+/hx8kdemo.json
/hx8kdemo.log
/hx8kdemo.rpt
/hx8kdemo_syn.v
diff --git a/picosoc/Makefile b/picosoc/Makefile
index 9c80745..291a12d 100644
--- a/picosoc/Makefile
+++ b/picosoc/Makefile
@@ -14,13 +14,13 @@ hx8kdemo.json: hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v
yosys -ql hx8kdemo.log -p 'synth_ice40 -top hx8kdemo -json hx8kdemo.json' $^
hx8kdemo_tb.vvp: hx8kdemo_tb.v hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v spiflash.v
- iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v`
+ iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` -DNO_ICE40_DEFAULT_ASSIGNMENTS
hx8kdemo_syn_tb.vvp: hx8kdemo_tb.v hx8kdemo_syn.v spiflash.v
- iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v`
+ iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` -DNO_ICE40_DEFAULT_ASSIGNMENTS
-hx8kdemo_syn.v: hx8kdemo.blif
- yosys -p 'read_blif -wideports hx8kdemo.blif; write_verilog hx8kdemo_syn.v'
+hx8kdemo_syn.v: hx8kdemo.json
+ yosys -p 'read_json hx8kdemo.json; write_verilog hx8kdemo_syn.v'
hx8kdemo.asc: hx8kdemo.pcf hx8kdemo.json
nextpnr-ice40 --hx8k --package ct256 --asc hx8kdemo.asc --json hx8kdemo.json --pcf hx8kdemo.pcf
@@ -60,10 +60,10 @@ icebreaker.json: icebreaker.v ice40up5k_spram.v spimemio.v simpleuart.v picosoc.
yosys -ql icebreaker.log -p 'synth_ice40 -dsp -top icebreaker -json icebreaker.json' $^
icebreaker_tb.vvp: icebreaker_tb.v icebreaker.v ice40up5k_spram.v spimemio.v simpleuart.v picosoc.v ../picorv32.v spiflash.v
- iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v`
+ iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` -DNO_ICE40_DEFAULT_ASSIGNMENTS
icebreaker_syn_tb.vvp: icebreaker_tb.v icebreaker_syn.v spiflash.v
- iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v`
+ iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` -DNO_ICE40_DEFAULT_ASSIGNMENTS
icebreaker_syn.v: icebreaker.json
yosys -p 'read_json icebreaker.json; write_verilog icebreaker_syn.v'
@@ -96,8 +96,8 @@ icebreaker_fw.bin: icebreaker_fw.elf
# ---- Testbench for SPI Flash Model ----
-spiflash_tb: spiflash_tb.vvp firmware.hex
- vvp -N $<
+spiflash_tb: spiflash_tb.vvp icebreaker_fw.hex
+ vvp -N $< +firmware=icebreaker_fw.hex
spiflash_tb.vvp: spiflash.v spiflash_tb.v
iverilog -s testbench -o $@ $^