aboutsummaryrefslogtreecommitdiffstats
path: root/picosoc/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-09-15 16:31:37 +0200
committerClifford Wolf <clifford@clifford.at>2017-09-15 16:31:37 +0200
commit1c8266869ad5179e99f5c063ad203c80f37b65c5 (patch)
treeb64f69290558909ae500673bfa27521c4288131c /picosoc/Makefile
parenta412d3ea69618960d6cfd900b5b4e99a9161992f (diff)
parent797c21e95cc80e985801ef0a08b3bb734e2118f4 (diff)
downloadpicorv32-1c8266869ad5179e99f5c063ad203c80f37b65c5.tar.gz
picorv32-1c8266869ad5179e99f5c063ad203c80f37b65c5.zip
Merge branch 'picosoc'
Diffstat (limited to 'picosoc/Makefile')
-rw-r--r--picosoc/Makefile20
1 files changed, 10 insertions, 10 deletions
diff --git a/picosoc/Makefile b/picosoc/Makefile
index 49dc126..1a6ac94 100644
--- a/picosoc/Makefile
+++ b/picosoc/Makefile
@@ -19,6 +19,9 @@ hx8kprog: hx8kdemo.bin firmware.bin
iceprog hx8kdemo.bin
iceprog -o 1M firmware.bin
+hx8kprog_fw: firmware.bin
+ iceprog -o 1M firmware.bin
+
hx8ksim: hx8kdemo_tb.vvp firmware.hex
vvp -N $<
@@ -46,25 +49,22 @@ hx8kdemo.bin: hx8kdemo.asc
# ---- Example Firmware ----
-firmware.elf: sections.lds firmware.S
- riscv32-unknown-elf-gcc -Wl,-Bstatic,-T,sections.lds,--strip-debug -ffreestanding -nostdlib -o firmware.elf firmware.S
-
-firmware_up.elf: firmware.elf
- riscv32-unknown-elf-objcopy --change-addresses 0x100000 firmware.elf firmware_up.elf
+firmware.elf: sections.lds start.s firmware.c
+ riscv32-unknown-elf-gcc -Wl,-Bstatic,-T,sections.lds,--strip-debug -ffreestanding -nostdlib -o firmware.elf start.s firmware.c
-firmware.hex: firmware_up.elf
- riscv32-unknown-elf-objcopy -O verilog firmware_up.elf firmware.hex
+firmware.hex: firmware.elf
+ riscv32-unknown-elf-objcopy -O verilog firmware.elf /dev/stdout | sed -e '2,65537 d;' > firmware.hex
firmware.bin: firmware.elf
- riscv32-unknown-elf-objcopy -O binary firmware.elf firmware.bin
+ riscv32-unknown-elf-objcopy -O binary firmware.elf /dev/stdout | tail -c +1048577 > firmware.bin
# ---- Clean ----
clean:
rm -f testbench.vvp testbench.vcd spiflash_tb.vvp spiflash_tb.vcd
- rm -f firmware.elf firmware_up.elf firmware.hex firmware.bin
+ rm -f firmware.elf firmware.hex firmware.bin
rm -f hx8kdemo.blif hx8kdemo.log hx8kdemo.asc hx8kdemo.rpt hx8kdemo.bin
rm -f hx8kdemo_syn.v hx8kdemo_syn_tb.vvp hx8kdemo_tb.vvp
-.PHONY: testbench spiflash_tb hx8kprog hx8ksim hx8ksynsim clean
+.PHONY: testbench spiflash_tb hx8kprog hx8kprog_fw hx8ksim hx8ksynsim clean