aboutsummaryrefslogtreecommitdiffstats
path: root/picosoc/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-09-17 20:38:03 +0200
committerClifford Wolf <clifford@clifford.at>2017-09-18 00:43:38 +0200
commit2cc1256ce7aab8637d82d91506cdeb73d42604b2 (patch)
tree43333d0d771fbf695eb07f64fb0a710d07369c9f /picosoc/Makefile
parent506cda5ee65d3271c3406ecc756f85cedfbeeef6 (diff)
downloadpicorv32-2cc1256ce7aab8637d82d91506cdeb73d42604b2.tar.gz
picorv32-2cc1256ce7aab8637d82d91506cdeb73d42604b2.zip
Improve PicoSoC demo firmware
Diffstat (limited to 'picosoc/Makefile')
-rw-r--r--picosoc/Makefile2
1 files changed, 1 insertions, 1 deletions
diff --git a/picosoc/Makefile b/picosoc/Makefile
index decf97a..cf95046 100644
--- a/picosoc/Makefile
+++ b/picosoc/Makefile
@@ -32,7 +32,7 @@ hx8kdemo.blif: hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v
yosys -ql hx8kdemo.log -p 'synth_ice40 -top hx8kdemo -blif hx8kdemo.blif' $^
hx8kdemo_tb.vvp: hx8kdemo_tb.v hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v spiflash.v
- iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v`
+ iverilog -s testbench -o $@ -D DEBUGREGS $^ `yosys-config --datdir/ice40/cells_sim.v`
hx8kdemo_syn_tb.vvp: hx8kdemo_tb.v hx8kdemo_syn.v spiflash.v
iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v`