aboutsummaryrefslogtreecommitdiffstats
path: root/picosoc/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-08-11 19:30:53 +0200
committerClifford Wolf <clifford@clifford.at>2017-08-11 19:30:53 +0200
commit99f0cafd1955652299e85d98bbdeedb5bbbfd506 (patch)
tree99a54a009a44cddc328c8eb6d538ce786c280a7b /picosoc/Makefile
parentfebb4b13183d89fbd7253a147408fcb9f9e3cca9 (diff)
downloadpicorv32-99f0cafd1955652299e85d98bbdeedb5bbbfd506.tar.gz
picorv32-99f0cafd1955652299e85d98bbdeedb5bbbfd506.zip
Add simple UART to PicoSoC
Diffstat (limited to 'picosoc/Makefile')
-rw-r--r--picosoc/Makefile6
1 files changed, 3 insertions, 3 deletions
diff --git a/picosoc/Makefile b/picosoc/Makefile
index 8c7a82c..49dc126 100644
--- a/picosoc/Makefile
+++ b/picosoc/Makefile
@@ -4,7 +4,7 @@
testbench: testbench.vvp firmware.hex
vvp -N $<
-testbench.vvp: spiflash.v spimemio.v testbench.v picosoc.v ../picorv32.v
+testbench.vvp: spiflash.v spimemio.v simpleuart.v testbench.v picosoc.v ../picorv32.v
iverilog -s testbench -o $@ $^
spiflash_tb: spiflash_tb.vvp firmware.hex
@@ -25,10 +25,10 @@ hx8ksim: hx8kdemo_tb.vvp firmware.hex
hx8ksynsim: hx8kdemo_syn_tb.vvp firmware.hex
vvp -N $<
-hx8kdemo.blif: hx8kdemo.v spimemio.v picosoc.v ../picorv32.v
+hx8kdemo.blif: hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v
yosys -ql hx8kdemo.log -p 'synth_ice40 -top hx8kdemo -blif hx8kdemo.blif' $^
-hx8kdemo_tb.vvp: hx8kdemo_tb.v hx8kdemo.v spimemio.v picosoc.v ../picorv32.v spiflash.v
+hx8kdemo_tb.vvp: hx8kdemo_tb.v hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v spiflash.v
iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v`
hx8kdemo_syn_tb.vvp: hx8kdemo_tb.v hx8kdemo_syn.v spiflash.v