aboutsummaryrefslogtreecommitdiffstats
path: root/picosoc/Makefile
diff options
context:
space:
mode:
authorTrammell Hudson <hudson@trmm.net>2021-09-02 16:35:38 +0000
committerTrammell Hudson <hudson@trmm.net>2021-09-02 16:35:38 +0000
commiteeca10190b6934889cf068b49478388703afbf70 (patch)
treec73f331f268deccb8d2404bb1038bf1d1d6ef19a /picosoc/Makefile
parentf9b1beb4cfd6b382157b54bc8f38c61d5ae7d785 (diff)
downloadpicorv32-eeca10190b6934889cf068b49478388703afbf70.tar.gz
picorv32-eeca10190b6934889cf068b49478388703afbf70.zip
picosoc: enable fast multiply option for icebreaker
This patch splits the picosoc's `ENABLE_MULDIV` paramter into `ENABLE_MUL`, `ENABLE_DIV` and `ENABLE_FAST_MUL`, and also enables the DSP-based fast multiplier for the iCE40up5k icebreaker board. Signed-off-by: Trammell Hudson <hudson@trmm.net>
Diffstat (limited to 'picosoc/Makefile')
-rw-r--r--picosoc/Makefile4
1 files changed, 2 insertions, 2 deletions
diff --git a/picosoc/Makefile b/picosoc/Makefile
index f600062..0346d8e 100644
--- a/picosoc/Makefile
+++ b/picosoc/Makefile
@@ -57,7 +57,7 @@ icebsynsim: icebreaker_syn_tb.vvp icebreaker_fw.hex
vvp -N $< +firmware=icebreaker_fw.hex
icebreaker.json: icebreaker.v ice40up5k_spram.v spimemio.v simpleuart.v picosoc.v ../picorv32.v
- yosys -ql icebreaker.log -p 'synth_ice40 -top icebreaker -json icebreaker.json' $^
+ yosys -ql icebreaker.log -p 'synth_ice40 -dsp -top icebreaker -json icebreaker.json' $^
icebreaker_tb.vvp: icebreaker_tb.v icebreaker.v ice40up5k_spram.v spimemio.v simpleuart.v picosoc.v ../picorv32.v spiflash.v
iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v`
@@ -69,7 +69,7 @@ icebreaker_syn.v: icebreaker.json
yosys -p 'read_json icebreaker.json; write_verilog icebreaker_syn.v'
icebreaker.asc: icebreaker.pcf icebreaker.json
- nextpnr-ice40 --freq 13 --up5k --asc icebreaker.asc --pcf icebreaker.pcf --json icebreaker.json
+ nextpnr-ice40 --freq 13 --up5k --package sg48 --asc icebreaker.asc --pcf icebreaker.pcf --json icebreaker.json
icebreaker.bin: icebreaker.asc
icetime -d up5k -c 12 -mtr icebreaker.rpt icebreaker.asc