aboutsummaryrefslogtreecommitdiffstats
path: root/picosoc/icebreaker.v
diff options
context:
space:
mode:
authorSteffen Vogel <post@steffenvogel.de>2019-02-12 00:13:33 +0100
committerSteffen Vogel <post@steffenvogel.de>2019-02-12 00:13:33 +0100
commit3710a86b81e4e8e5426c2941e9edf1d40f686370 (patch)
treefca778492a521f01e0a9efd6441fe3725c430789 /picosoc/icebreaker.v
parenteb64df6c3e5184ee982bb57c8828b80c798ce1b6 (diff)
downloadpicorv32-3710a86b81e4e8e5426c2941e9edf1d40f686370.tar.gz
picorv32-3710a86b81e4e8e5426c2941e9edf1d40f686370.zip
icebreaker: artificially limit available RAM to speed-up simulation
Diffstat (limited to 'picosoc/icebreaker.v')
-rw-r--r--picosoc/icebreaker.v4
1 files changed, 3 insertions, 1 deletions
diff --git a/picosoc/icebreaker.v b/picosoc/icebreaker.v
index 00da2d7..342f346 100644
--- a/picosoc/icebreaker.v
+++ b/picosoc/icebreaker.v
@@ -45,6 +45,8 @@ module icebreaker (
inout flash_io2,
inout flash_io3
);
+ parameter integer MEM_WORDS = 32768;
+
reg [5:0] reset_cnt = 0;
wire resetn = &reset_cnt;
@@ -107,7 +109,7 @@ module icebreaker (
picosoc #(
.BARREL_SHIFTER(0),
.ENABLE_MULDIV(0),
- .MEM_WORDS(32768)
+ .MEM_WORDS(MEM_WORDS)
) soc (
.clk (clk ),
.resetn (resetn ),