aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/icestorm/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-07-14 09:07:52 +0200
committerClifford Wolf <clifford@clifford.at>2016-07-14 09:07:52 +0200
commitf9da3f2ce822908225b6305ac7f01e1928fa43ad (patch)
treeecfa68d1e6a7003e239913aadf3f5559cd6da5ad /scripts/icestorm/Makefile
parent288a043acaa1db7cfda52c672afed32aa3ff4b0e (diff)
downloadpicorv32-f9da3f2ce822908225b6305ac7f01e1928fa43ad.tar.gz
picorv32-f9da3f2ce822908225b6305ac7f01e1928fa43ad.zip
Minor fixes in scripts/icestorm/
Diffstat (limited to 'scripts/icestorm/Makefile')
-rw-r--r--scripts/icestorm/Makefile14
1 files changed, 7 insertions, 7 deletions
diff --git a/scripts/icestorm/Makefile b/scripts/icestorm/Makefile
index 74ba34d..2740da0 100644
--- a/scripts/icestorm/Makefile
+++ b/scripts/icestorm/Makefile
@@ -18,11 +18,11 @@ firmware.hex: firmware.bin
synth.blif: example.v ../../picorv32.v firmware.hex
yosys -v3 -l synth.log -p 'synth_ice40 -top top -blif $@; write_verilog -attr2comment synth.v' $(filter %.v, $^)
-example.txt: synth.blif
- arachne-pnr -d 8k -o example.txt -p example.pcf synth.blif
+example.asc: synth.blif
+ arachne-pnr -d 8k -o example.asc -p example.pcf synth.blif
-example.bin: example.txt
- icepack example.txt example.bin
+example.bin: example.asc
+ icepack example.asc example.bin
example_tb.vvp: example_tb.v example.v firmware.hex
iverilog -o example_tb.vvp -s testbench example.v example_tb.v ../../picorv32.v
@@ -44,8 +44,8 @@ synth_sim: synth_tb.vvp
synth_sim_vcd: synth_tb.vvp
vvp -N synth_tb.vvp +vcd
-route.v: example.txt
- icebox_vlog -L -n top -sp example.pcf example.txt > route.v
+route.v: example.asc
+ icebox_vlog -L -n top -sp example.pcf example.asc > route.v
route_tb.vvp: example_tb.v route.v
iverilog -o route_tb.vvp -s testbench route.v example_tb.v /usr/local/share/yosys/ice40/cells_sim.v
@@ -65,7 +65,7 @@ view:
clean:
rm -f firmware.elf firmware.map firmware.bin firmware.hex
- rm -f synth.log synth.v synth.blif route.v example.txt example.bin
+ rm -f synth.log synth.v synth.blif route.v example.asc example.bin
rm -f example_tb.vvp synth_tb.vvp route_tb.vvp example.vcd
.PHONY: all prog_sram view clean