aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/icestorm/example_tb.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-07-14 09:07:52 +0200
committerClifford Wolf <clifford@clifford.at>2016-07-14 09:07:52 +0200
commitf9da3f2ce822908225b6305ac7f01e1928fa43ad (patch)
treeecfa68d1e6a7003e239913aadf3f5559cd6da5ad /scripts/icestorm/example_tb.v
parent288a043acaa1db7cfda52c672afed32aa3ff4b0e (diff)
downloadpicorv32-f9da3f2ce822908225b6305ac7f01e1928fa43ad.tar.gz
picorv32-f9da3f2ce822908225b6305ac7f01e1928fa43ad.zip
Minor fixes in scripts/icestorm/
Diffstat (limited to 'scripts/icestorm/example_tb.v')
-rw-r--r--scripts/icestorm/example_tb.v8
1 files changed, 4 insertions, 4 deletions
diff --git a/scripts/icestorm/example_tb.v b/scripts/icestorm/example_tb.v
index db14aa9..f04f8f8 100644
--- a/scripts/icestorm/example_tb.v
+++ b/scripts/icestorm/example_tb.v
@@ -1,12 +1,12 @@
`timescale 1 ns / 1 ps
module testbench;
- reg clk_pin = 1;
- always #5 clk_pin = ~clk_pin;
+ reg clk = 1;
+ always #5 clk = ~clk;
wire LED0, LED1, LED2, LED3, LED4, LED5, LED6, LED7;
top uut (
- .clk_pin(clk_pin),
+ .clk(clk),
.LED0(LED0),
.LED1(LED1),
.LED2(LED2),
@@ -24,7 +24,7 @@ module testbench;
end
$monitor(LED7, LED6, LED5, LED4, LED3, LED2, LED1, LED0);
- repeat (10000) @(posedge clk_pin);
+ repeat (10000) @(posedge clk);
$finish;
end
endmodule