aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/quartus/synth_area_small.tcl
diff options
context:
space:
mode:
authorTom Verbeure <tom_verbeure@yahoo.com>2016-08-29 21:12:08 -0700
committerTom Verbeure <tom_verbeure@yahoo.com>2016-08-29 21:12:08 -0700
commit7bf87502aa70052042cd2e9d8ff9da593a887aa6 (patch)
treee0a0a88a72cdff7644e5a9cfeb66ee065f6911e7 /scripts/quartus/synth_area_small.tcl
parent9d13c05dd210252e540179c8f1d120c89a26289a (diff)
downloadpicorv32-7bf87502aa70052042cd2e9d8ff9da593a887aa6.tar.gz
picorv32-7bf87502aa70052042cd2e9d8ff9da593a887aa6.zip
Clone vivado dir into quartus dir
Diffstat (limited to 'scripts/quartus/synth_area_small.tcl')
-rw-r--r--scripts/quartus/synth_area_small.tcl10
1 files changed, 10 insertions, 0 deletions
diff --git a/scripts/quartus/synth_area_small.tcl b/scripts/quartus/synth_area_small.tcl
new file mode 100644
index 0000000..11d2104
--- /dev/null
+++ b/scripts/quartus/synth_area_small.tcl
@@ -0,0 +1,10 @@
+read_verilog ../../picorv32.v
+read_verilog synth_area_top.v
+read_xdc synth_area.xdc
+
+synth_design -part xc7k70t-fbg676 -top top_small
+opt_design -sweep -propconst -resynth_seq_area
+opt_design -directive ExploreSequentialArea
+
+report_utilization
+report_timing