aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/quartus/synth_speed.qsf
diff options
context:
space:
mode:
authorTom Verbeure <tom_verbeure@yahoo.com>2016-08-30 23:02:31 -0700
committerTom Verbeure <tom_verbeure@yahoo.com>2016-08-30 23:02:31 -0700
commit41918ee26533daaf2b22dbbf79656cfad914b3f3 (patch)
tree349299ccb196b77d1b9b593ca6da1ff6123712f8 /scripts/quartus/synth_speed.qsf
parent91deccd3a18ad6edcb793424f7c56f2f724d4b3f (diff)
downloadpicorv32-41918ee26533daaf2b22dbbf79656cfad914b3f3.tar.gz
picorv32-41918ee26533daaf2b22dbbf79656cfad914b3f3.zip
synth_speed
Diffstat (limited to 'scripts/quartus/synth_speed.qsf')
-rw-r--r--scripts/quartus/synth_speed.qsf5
1 files changed, 5 insertions, 0 deletions
diff --git a/scripts/quartus/synth_speed.qsf b/scripts/quartus/synth_speed.qsf
new file mode 100644
index 0000000..64490d4
--- /dev/null
+++ b/scripts/quartus/synth_speed.qsf
@@ -0,0 +1,5 @@
+set_global_assignment -name DEVICE ep4ce40f29c7
+set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
+set_global_assignment -name TOP_LEVEL_ENTITY picorv32_axi
+set_global_assignment -name VERILOG_FILE ../../../picorv32.v
+set_global_assignment -name SDC_FILE ../synth_speed.sdc