aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/quartus/synth_system.qsf
diff options
context:
space:
mode:
authorTom Verbeure <tom_verbeure@yahoo.com>2016-08-30 22:54:14 -0700
committerTom Verbeure <tom_verbeure@yahoo.com>2016-08-30 22:54:14 -0700
commite7f5d640cab596410cb21bab0ac7bc49c3ad87b7 (patch)
tree258e609c33e2be606d807cf3c7220b9bbb4d7860 /scripts/quartus/synth_system.qsf
parent209456a6c88c9460625c7fa7903f9561ba2005ad (diff)
downloadpicorv32-e7f5d640cab596410cb21bab0ac7bc49c3ad87b7.tar.gz
picorv32-e7f5d640cab596410cb21bab0ac7bc49c3ad87b7.zip
synth_system
Diffstat (limited to 'scripts/quartus/synth_system.qsf')
-rw-r--r--scripts/quartus/synth_system.qsf6
1 files changed, 6 insertions, 0 deletions
diff --git a/scripts/quartus/synth_system.qsf b/scripts/quartus/synth_system.qsf
new file mode 100644
index 0000000..1a84293
--- /dev/null
+++ b/scripts/quartus/synth_system.qsf
@@ -0,0 +1,6 @@
+set_global_assignment -name DEVICE ep4ce40f29c7
+set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
+set_global_assignment -name TOP_LEVEL_ENTITY system
+set_global_assignment -name VERILOG_FILE ../system.v
+set_global_assignment -name VERILOG_FILE ../../../picorv32.v
+set_global_assignment -name SDC_FILE ../synth_system.sdc