aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/smt2-bmc/async.ys
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-08-15 11:28:35 +0200
committerClifford Wolf <clifford@clifford.at>2015-08-15 11:28:35 +0200
commitf227332a9890f44ec9db5c60578f4d38d6ec2d80 (patch)
treefa87d355c6d2167df7404081f9af12716e645949 /scripts/smt2-bmc/async.ys
parentec0891326aee1334bce4bb096e7521a3907d4bdc (diff)
downloadpicorv32-f227332a9890f44ec9db5c60578f4d38d6ec2d80.tar.gz
picorv32-f227332a9890f44ec9db5c60578f4d38d6ec2d80.zip
Added scripts/smt2-bmc/sync.*
Diffstat (limited to 'scripts/smt2-bmc/async.ys')
-rw-r--r--scripts/smt2-bmc/async.ys4
1 files changed, 2 insertions, 2 deletions
diff --git a/scripts/smt2-bmc/async.ys b/scripts/smt2-bmc/async.ys
index 1f7c8f8..627d717 100644
--- a/scripts/smt2-bmc/async.ys
+++ b/scripts/smt2-bmc/async.ys
@@ -1,4 +1,4 @@
-read_verilog async.v
+read_verilog main.v
read_verilog ../../picorv32.v
rename main main_a
chparam -set ENABLE_REGS_DUALPORT 0 \
@@ -14,7 +14,7 @@ opt
write_smt2 -bv -mem -regs async_a.smt2
design -reset
-read_verilog async.v
+read_verilog main.v
read_verilog ../../picorv32.v
rename main main_b
chparam -set ENABLE_REGS_DUALPORT 1 \