aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-09 12:45:45 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-09 12:45:45 +0200
commit26127b45dec21a46b89815513a452e4ad2754a3f (patch)
tree3dd1dc263af07a6cf941275fada39e5216f8c016 /scripts/vivado/Makefile
parentb4b1d03b1cb693698a04667b98764d80330724ab (diff)
downloadpicorv32-26127b45dec21a46b89815513a452e4ad2754a3f.tar.gz
picorv32-26127b45dec21a46b89815513a452e4ad2754a3f.zip
Makefile for Vivado scripts
Diffstat (limited to 'scripts/vivado/Makefile')
-rw-r--r--scripts/vivado/Makefile10
1 files changed, 10 insertions, 0 deletions
diff --git a/scripts/vivado/Makefile b/scripts/vivado/Makefile
new file mode 100644
index 0000000..44f66d4
--- /dev/null
+++ b/scripts/vivado/Makefile
@@ -0,0 +1,10 @@
+
+VIVADO = /opt/Xilinx/Vivado/2014.4/bin/vivado
+
+help:
+ @echo "Usage: make {synth_speed|synth_area|synth_soc}"
+
+synth_%:
+ $(VIVADO) -nojournal -log $@.log -mode batch -source $@.tcl
+ rm -rf .Xil fsm_encoding.os synth_*.backup.log
+