aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_area_regular.tcl
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-08 09:48:42 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-08 09:48:42 +0200
commitbd1cc3466f66b16a3e6f821379e1e4ac98213cb8 (patch)
treed161803f40689dce7aa1c1ea12a4c37bd0093d26 /scripts/vivado/synth_area_regular.tcl
parentb6c4c2eeb9c7c90d5cb60c03f223cffebfec0e8d (diff)
downloadpicorv32-bd1cc3466f66b16a3e6f821379e1e4ac98213cb8.tar.gz
picorv32-bd1cc3466f66b16a3e6f821379e1e4ac98213cb8.zip
Updated eval data
Diffstat (limited to 'scripts/vivado/synth_area_regular.tcl')
0 files changed, 0 insertions, 0 deletions