aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_soc.tcl
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-09 02:48:14 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-09 02:48:14 +0200
commit94edf3565dcd4d3cb581216d9a035d047e50c0f6 (patch)
treecce04eb898739fb1558df05a8c8ac4ef03aefdc3 /scripts/vivado/synth_soc.tcl
parent2a04d0e52e3d36da7e4a391587548264016b32dd (diff)
downloadpicorv32-94edf3565dcd4d3cb581216d9a035d047e50c0f6.tar.gz
picorv32-94edf3565dcd4d3cb581216d9a035d047e50c0f6.zip
Vivado "system" example
Diffstat (limited to 'scripts/vivado/synth_soc.tcl')
-rw-r--r--scripts/vivado/synth_soc.tcl17
1 files changed, 0 insertions, 17 deletions
diff --git a/scripts/vivado/synth_soc.tcl b/scripts/vivado/synth_soc.tcl
deleted file mode 100644
index eaf82d5..0000000
--- a/scripts/vivado/synth_soc.tcl
+++ /dev/null
@@ -1,17 +0,0 @@
-
-read_verilog soc_top.v
-read_verilog ../../picorv32.v
-read_xdc synth_soc.xdc
-
-synth_design -part xc7a35t-cpg236-1 -top soc_top
-opt_design
-place_design
-route_design
-
-report_utilization
-report_timing
-
-write_verilog -force synth_soc.v
-write_bitstream -force synth_soc.bit
-# write_mem_info -force synth_soc.mmi
-