aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_speed.xdc
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-26 23:41:13 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-26 23:41:13 +0200
commit60fdba89d0ef7e46477e7611c6ad9772944430b2 (patch)
tree4dbfd85235a82b4a610e19d3e92cfb2ac5e5faf7 /scripts/vivado/synth_speed.xdc
parent0be990bd04326b114f710fafdee2465480613825 (diff)
downloadpicorv32-60fdba89d0ef7e46477e7611c6ad9772944430b2.tar.gz
picorv32-60fdba89d0ef7e46477e7611c6ad9772944430b2.zip
Updated vivado scripts
Diffstat (limited to 'scripts/vivado/synth_speed.xdc')
-rw-r--r--scripts/vivado/synth_speed.xdc2
1 files changed, 1 insertions, 1 deletions
diff --git a/scripts/vivado/synth_speed.xdc b/scripts/vivado/synth_speed.xdc
index f2c7ea2..877ec8d 100644
--- a/scripts/vivado/synth_speed.xdc
+++ b/scripts/vivado/synth_speed.xdc
@@ -1 +1 @@
-create_clock -period 4.00 [get_ports clk]
+create_clock -period 2.50 [get_ports clk]