aboutsummaryrefslogtreecommitdiffstats
path: root/scripts/vivado/synth_system.tcl
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-07-09 00:57:14 +0200
committerClifford Wolf <clifford@clifford.at>2015-07-09 00:57:14 +0200
commit2a04d0e52e3d36da7e4a391587548264016b32dd (patch)
treefb549080d8e1d5050ce7c95e9089d5c347e8d2b8 /scripts/vivado/synth_system.tcl
parentbf7f984d4280771032ac6770916326da02eb5b56 (diff)
downloadpicorv32-2a04d0e52e3d36da7e4a391587548264016b32dd.tar.gz
picorv32-2a04d0e52e3d36da7e4a391587548264016b32dd.zip
Updated evaluation
Diffstat (limited to 'scripts/vivado/synth_system.tcl')
0 files changed, 0 insertions, 0 deletions