aboutsummaryrefslogtreecommitdiffstats
path: root/scripts
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-08 09:31:56 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-08 09:31:56 +0200
commit072e5ca2c5f826fa263d31b808774e18bc02abd0 (patch)
treec1b8abb7e51a19775939471365614fd731686a6f /scripts
parenta9532f81edc0211e404f5c0cf4348bd55b6d9674 (diff)
downloadpicorv32-072e5ca2c5f826fa263d31b808774e18bc02abd0.tar.gz
picorv32-072e5ca2c5f826fa263d31b808774e18bc02abd0.zip
Added osu018 yosys synthesis script
Diffstat (limited to 'scripts')
-rw-r--r--scripts/vivado/.gitignore (renamed from scripts/.gitignore)0
-rw-r--r--scripts/vivado/synth_vivado.tcl (renamed from scripts/synth_vivado.tcl)2
-rw-r--r--scripts/vivado/synth_vivado.xdc (renamed from scripts/synth_vivado.xdc)0
-rw-r--r--scripts/vivado/synth_vivado_soc.v (renamed from scripts/synth_vivado_soc.v)0
-rw-r--r--scripts/yosys/.gitignore1
-rw-r--r--scripts/yosys/synth_osu018.sh8
6 files changed, 10 insertions, 1 deletions
diff --git a/scripts/.gitignore b/scripts/vivado/.gitignore
index 74c116e..74c116e 100644
--- a/scripts/.gitignore
+++ b/scripts/vivado/.gitignore
diff --git a/scripts/synth_vivado.tcl b/scripts/vivado/synth_vivado.tcl
index 1685721..386a070 100644
--- a/scripts/synth_vivado.tcl
+++ b/scripts/vivado/synth_vivado.tcl
@@ -2,7 +2,7 @@
# vivado -nojournal -log synth_vivado.log -mode batch -source synth_vivado.tcl
read_verilog synth_vivado_soc.v
-read_verilog ../picorv32.v
+read_verilog ../../picorv32.v
read_xdc synth_vivado.xdc
synth_design -part xc7a15t-csg324 -top picorv32_axi
diff --git a/scripts/synth_vivado.xdc b/scripts/vivado/synth_vivado.xdc
index f2c7ea2..f2c7ea2 100644
--- a/scripts/synth_vivado.xdc
+++ b/scripts/vivado/synth_vivado.xdc
diff --git a/scripts/synth_vivado_soc.v b/scripts/vivado/synth_vivado_soc.v
index 0b92d39..0b92d39 100644
--- a/scripts/synth_vivado_soc.v
+++ b/scripts/vivado/synth_vivado_soc.v
diff --git a/scripts/yosys/.gitignore b/scripts/yosys/.gitignore
new file mode 100644
index 0000000..d6fc3e3
--- /dev/null
+++ b/scripts/yosys/.gitignore
@@ -0,0 +1 @@
+osu018_stdcells.lib
diff --git a/scripts/yosys/synth_osu018.sh b/scripts/yosys/synth_osu018.sh
new file mode 100644
index 0000000..7a8693d
--- /dev/null
+++ b/scripts/yosys/synth_osu018.sh
@@ -0,0 +1,8 @@
+#!/bin/bash
+set -ex
+if test ! -s osu018_stdcells.lib; then
+ wget --continue -O osu018_stdcells.lib.part http://vlsiarch.ecen.okstate.edu/flows/MOSIS_SCMOS/`
+ `latest/cadence/lib/tsmc018/signalstorm/osu018_stdcells.lib
+ mv osu018_stdcells.lib.part osu018_stdcells.lib
+fi
+yosys -p 'synth -top picorv32; dfflibmap -liberty osu018_stdcells.lib; abc -liberty osu018_stdcells.lib; stat' ../../picorv32.v