aboutsummaryrefslogtreecommitdiffstats
path: root/scripts
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-04-12 13:05:34 +0200
committerClifford Wolf <clifford@clifford.at>2016-04-12 13:12:23 +0200
commit0e9bdd0082b0a4116b04511477d47530082475ee (patch)
tree296c34016793870776b9068c1b075e986b601392 /scripts
parent8d453a1dd4adad7467457166885263a5e41144c8 (diff)
downloadpicorv32-0e9bdd0082b0a4116b04511477d47530082475ee.tar.gz
picorv32-0e9bdd0082b0a4116b04511477d47530082475ee.zip
Added Kintex/Virtex UltraScale to "make table.txt" in scripts/vivado/
Diffstat (limited to 'scripts')
-rw-r--r--scripts/vivado/Makefile4
-rw-r--r--scripts/vivado/tabtest.sh8
2 files changed, 11 insertions, 1 deletions
diff --git a/scripts/vivado/Makefile b/scripts/vivado/Makefile
index a3b5b11..9b4aa71 100644
--- a/scripts/vivado/Makefile
+++ b/scripts/vivado/Makefile
@@ -1,5 +1,5 @@
-VIVADO_BASE = /opt/Xilinx/Vivado/2015.1
+VIVADO_BASE = /opt/Xilinx/Vivado/2015.4
VIVADO = $(VIVADO_BASE)/bin/vivado
XVLOG = $(VIVADO_BASE)/bin/xvlog
XELAB = $(VIVADO_BASE)/bin/xelab
@@ -52,6 +52,8 @@ area: synth_area_small synth_area_regular synth_area_large
table.txt: tab_small_xc7a_1/results.txt tab_small_xc7a_2/results.txt tab_small_xc7a_3/results.txt
table.txt: tab_small_xc7k_1/results.txt tab_small_xc7k_2/results.txt tab_small_xc7k_3/results.txt
table.txt: tab_small_xc7v_1/results.txt tab_small_xc7v_2/results.txt tab_small_xc7v_3/results.txt
+table.txt: tab_small_xcku_1/results.txt tab_small_xcku_2/results.txt tab_small_xcku_3/results.txt
+table.txt: tab_small_xcvu_1/results.txt tab_small_xcvu_2/results.txt tab_small_xcvu_3/results.txt
table.txt:
bash table.sh > table.txt
diff --git a/scripts/vivado/tabtest.sh b/scripts/vivado/tabtest.sh
index 4ebd925..fa43fc3 100644
--- a/scripts/vivado/tabtest.sh
+++ b/scripts/vivado/tabtest.sh
@@ -21,6 +21,14 @@ synth_case() {
xc7a) xl_device="xc7a15t-fgg484-${grade}" ;;
xc7k) xl_device="xc7k70t-fbg676-${grade}" ;;
xc7v) xl_device="xc7v585t-ffg1761-${grade}" ;;
+ xcku) xl_device="xcku035-fbva676-${grade}" ;;
+ xcvu) xl_device="xcvu065-ffvc1517-${grade}" ;;
+ esac
+
+ case "${dev}-${grade}" in
+ xcku-1) xl_device="${xl_device}-c" ;;
+ xcvu-1) xl_device="${xl_device}-i" ;;
+ xcku-?|xcvu-?) xl_device="${xl_device}-e" ;;
esac
cat > test_${1}.tcl <<- EOT