aboutsummaryrefslogtreecommitdiffstats
path: root/spiflash/spiflash.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-07-29 21:34:29 +0200
committerClifford Wolf <clifford@clifford.at>2017-07-29 21:34:29 +0200
commitcb87f93cf863d591c7d442222ffb1b1bcc58d84e (patch)
treede38be9bd064e922f31c72d3401502d4c1302358 /spiflash/spiflash.v
parent995f366d0e524e4a52a18a5eec6efa3aa225c344 (diff)
downloadpicorv32-cb87f93cf863d591c7d442222ffb1b1bcc58d84e.tar.gz
picorv32-cb87f93cf863d591c7d442222ffb1b1bcc58d84e.zip
Improve spiflash testbench and firmware
Diffstat (limited to 'spiflash/spiflash.v')
-rw-r--r--spiflash/spiflash.v20
1 files changed, 9 insertions, 11 deletions
diff --git a/spiflash/spiflash.v b/spiflash/spiflash.v
index 933f3a2..5bb796b 100644
--- a/spiflash/spiflash.v
+++ b/spiflash/spiflash.v
@@ -4,7 +4,7 @@ module spiflash (
input spi_mosi,
input spi_sclk
);
- localparam verbose = 1;
+ localparam verbose = 0;
reg [7:0] buffer;
integer bitcount = 0;
@@ -29,12 +29,7 @@ module spiflash (
task spi_action;
begin
- if (verbose) begin
- if (bytecount == 1)
- $write("<SPI>");
- $write("<SPI:%02x", buffer);
- spi_in = buffer;
- end
+ spi_in = buffer;
if (bytecount == 1) begin
spi_cmd = buffer;
@@ -60,12 +55,15 @@ module spiflash (
end
end
+ spi_out = buffer;
+ spi_io_vld = 1;
+
if (verbose) begin
- $write(":%02x>", buffer);
- spi_out = buffer;
- spi_io_vld = 1;
- $fflush;
+ if (bytecount == 1)
+ $write("<SPI-START>");
+ $write("<SPI:%02x:%02x>", spi_in, spi_out);
end
+
end
endtask