aboutsummaryrefslogtreecommitdiffstats
path: root/spiflash/testbench.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-07-29 16:01:39 +0200
committerClifford Wolf <clifford@clifford.at>2017-07-29 16:01:39 +0200
commit098829e5791abc5aaa641d423eb278ffb306f70a (patch)
tree9e16ec6fdd43fcfff5b6fb566e0956807d0d98f6 /spiflash/testbench.v
parent4ce36a87d107fdb78c2ac2478158d6cdb49c6874 (diff)
downloadpicorv32-098829e5791abc5aaa641d423eb278ffb306f70a.tar.gz
picorv32-098829e5791abc5aaa641d423eb278ffb306f70a.zip
Add spiflash example project
Diffstat (limited to 'spiflash/testbench.v')
-rw-r--r--spiflash/testbench.v37
1 files changed, 37 insertions, 0 deletions
diff --git a/spiflash/testbench.v b/spiflash/testbench.v
new file mode 100644
index 0000000..6b4aaef
--- /dev/null
+++ b/spiflash/testbench.v
@@ -0,0 +1,37 @@
+module testbench;
+ reg clk = 1;
+ always #5 clk = ~clk;
+
+ initial begin
+ $dumpfile("testbench.vcd");
+ $dumpvars(0, testbench);
+ repeat (10000) @(posedge clk);
+ $display("<END>");
+ $finish;
+ end
+
+ wire [31:0] gpio_i = 0;
+ wire [31:0] gpio_o;
+
+ wire spi_cs;
+ wire spi_sclk;
+ wire spi_mosi;
+ wire spi_miso;
+
+ top uut (
+ .clk (clk ),
+ .gpio_i (gpio_i ),
+ .gpio_o (gpio_o ),
+ .spi_cs (spi_cs ),
+ .spi_sclk(spi_sclk),
+ .spi_mosi(spi_mosi),
+ .spi_miso(spi_miso)
+ );
+
+ spiflash spiflash (
+ .spi_cs (spi_cs ),
+ .spi_sclk(spi_sclk),
+ .spi_mosi(spi_mosi),
+ .spi_miso(spi_miso)
+ );
+endmodule