aboutsummaryrefslogtreecommitdiffstats
path: root/synth_vivado.tcl
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-06 20:14:58 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-06 20:14:58 +0200
commit9df9d7ff905d1d6c49221607efc9a04f042ea003 (patch)
tree6fd540920847108e6a21b4edb61be7f78308060e /synth_vivado.tcl
parentabe046575321153c0bed10525fe8d12b92e776b1 (diff)
downloadpicorv32-9df9d7ff905d1d6c49221607efc9a04f042ea003.tar.gz
picorv32-9df9d7ff905d1d6c49221607efc9a04f042ea003.zip
Improved Xilinx example
Diffstat (limited to 'synth_vivado.tcl')
-rw-r--r--synth_vivado.tcl16
1 files changed, 0 insertions, 16 deletions
diff --git a/synth_vivado.tcl b/synth_vivado.tcl
deleted file mode 100644
index 2dd4fce..0000000
--- a/synth_vivado.tcl
+++ /dev/null
@@ -1,16 +0,0 @@
-
-# vivado -nojournal -log synth_vivado.log -mode batch -source synth_vivado.tcl
-
-read_verilog picorv32.v
-read_xdc synth_vivado.xdc
-
-synth_design -part xc7a15t-csg324 -top picorv32_axi
-opt_design
-place_design
-route_design
-
-report_utilization
-report_timing
-
-write_verilog -force synth_vivado.v
-