aboutsummaryrefslogtreecommitdiffstats
path: root/synth_vivado.tcl
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-06 19:22:28 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-06 19:22:28 +0200
commitc55d5374010c0f4b569b5d115552dc07bfdcae50 (patch)
tree591c30dcd79d4a23bed9cd876cfff9965df0268d /synth_vivado.tcl
parentf9ae73066b4580a05a15c1bdd8ee5bc05b3f596c (diff)
downloadpicorv32-c55d5374010c0f4b569b5d115552dc07bfdcae50.tar.gz
picorv32-c55d5374010c0f4b569b5d115552dc07bfdcae50.zip
Improved AXI tests
Diffstat (limited to 'synth_vivado.tcl')
0 files changed, 0 insertions, 0 deletions