aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-04-10 16:54:35 +0200
committerClifford Wolf <clifford@clifford.at>2016-04-10 16:54:35 +0200
commit00dd6ac38ee7e0b948a0a30d36f2e7a6fc2369ea (patch)
tree3e9c9c7b9fb8215da0a309cab8845e7bbb87d305 /testbench.v
parent8f5845310950ee00dbc3084e76e3f11dd8493158 (diff)
downloadpicorv32-00dd6ac38ee7e0b948a0a30d36f2e7a6fc2369ea.tar.gz
picorv32-00dd6ac38ee7e0b948a0a30d36f2e7a6fc2369ea.zip
Added ENABLE_DIV and picorv32_pcpi_div
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v1
1 files changed, 1 insertions, 0 deletions
diff --git a/testbench.v b/testbench.v
index ffbc13e..2a3f438 100644
--- a/testbench.v
+++ b/testbench.v
@@ -118,6 +118,7 @@ module picorv32_wrapper #(
.COMPRESSED_ISA(1),
`endif
.ENABLE_MUL(1),
+ .ENABLE_DIV(1),
.ENABLE_IRQ(1)
) uut (
.clk (clk ),