aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
authorAntony Pavlov <antonynpavlov@gmail.com>2017-03-15 06:48:43 +0300
committerAntony Pavlov <antonynpavlov@gmail.com>2017-03-15 07:15:31 +0300
commit1fbe25c994acaf2576e15c1192114654f2b366ff (patch)
tree7c449eec41e1b0a87425a352918f3ac6898207ab /testbench.v
parent0967a39c1dae7ffd38a70c7eb5ea2a3332784312 (diff)
downloadpicorv32-1fbe25c994acaf2576e15c1192114654f2b366ff.tar.gz
picorv32-1fbe25c994acaf2576e15c1192114654f2b366ff.zip
testbench.v: fix whitespaces
Signed-off-by: Antony Pavlov <antonynpavlov@gmail.com>
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/testbench.v b/testbench.v
index e113265..9380445 100644
--- a/testbench.v
+++ b/testbench.v
@@ -176,7 +176,7 @@ module picorv32_wrapper #(
reg [1023:0] firmware_file;
initial begin
- if(!$value$plusargs("firmware=%s", firmware_file))
+ if (!$value$plusargs("firmware=%s", firmware_file))
firmware_file = "firmware/firmware.hex";
$readmemh(firmware_file, mem.memory);
end
@@ -300,7 +300,7 @@ module axi4_memory #(
end endtask
task handle_axi_rvalid; begin
- if(verbose)
+ if (verbose)
$display("RD: ADDR=%08x DATA=%08x%s", latched_raddr, memory[latched_raddr >> 2], latched_rinsn ? " INSN" : "");
if (latched_raddr < 64*1024) begin
mem_axi_rdata <= memory[latched_raddr >> 2];