aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-26 23:54:12 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-26 23:54:12 +0200
commit44571601c16b914ab758914f974f726094e7c411 (patch)
tree6322889210dafe8786ada3779814e5b214922c3e /testbench.v
parent617fa9d80a2d7afc115c96f2dd76f37a97cef866 (diff)
downloadpicorv32-44571601c16b914ab758914f974f726094e7c411.tar.gz
picorv32-44571601c16b914ab758914f974f726094e7c411.zip
Added "make test_sp"
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v3
1 files changed, 3 insertions, 0 deletions
diff --git a/testbench.v b/testbench.v
index 4e6b780..292db7a 100644
--- a/testbench.v
+++ b/testbench.v
@@ -45,6 +45,9 @@ module testbench;
reg [31:0] mem_axi_rdata;
picorv32_axi #(
+`ifdef SP_TEST
+ .ENABLE_REGS_DUALPORT(0),
+`endif
.ENABLE_MUL(1),
.ENABLE_IRQ(1)
) uut (