aboutsummaryrefslogtreecommitdiffstats
path: root/testbench.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-06-28 12:20:23 +0200
committerClifford Wolf <clifford@clifford.at>2015-06-28 12:20:23 +0200
commit923ac360ff3dfd4fccb4e40dcd32c9f560a8afa1 (patch)
tree943bc6e9266a053c8997d1d5c6672b65826ea747 /testbench.v
parent4c15e05298ea334de9e21312e29f6169d12330d2 (diff)
downloadpicorv32-923ac360ff3dfd4fccb4e40dcd32c9f560a8afa1.tar.gz
picorv32-923ac360ff3dfd4fccb4e40dcd32c9f560a8afa1.zip
More README stuff
Diffstat (limited to 'testbench.v')
-rw-r--r--testbench.v7
1 files changed, 7 insertions, 0 deletions
diff --git a/testbench.v b/testbench.v
index 292db7a..135fbdc 100644
--- a/testbench.v
+++ b/testbench.v
@@ -1,3 +1,10 @@
+// This is free and unencumbered software released into the public domain.
+//
+// Anyone is free to copy, modify, publish, use, compile, sell, or
+// distribute this software, either in source code form or as a compiled
+// binary, for any purpose, commercial or non-commercial, and by any
+// means.
+
`timescale 1 ns / 1 ps
// `define VERBOSE
// `define AXI_TEST